明德扬论坛

 找回密码
 立即注册

QQ登录

只需一步,快速开始

微信扫一扫,快捷登录!

查看: 5865|回复: 1

【每日一题】仿真测试时,出现某信号为高阻态,如下图。此时应该去检查test_modelsim

[复制链接]
发表于 2020-5-5 11:49:51 | 显示全部楼层 |阅读模式

马上注册,看完整文章,学更多FPGA知识。

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
【每日一题】
判断题:仿真测试时,出现某信号为高阻态,如下图,此时应该去检查test_modelsim/led的代码。






MP801开发板 网络培训班 就业培训班 FPGA学习资料
吴老师18022857217
 楼主| 发表于 2020-5-5 18:42:00 | 显示全部楼层
我来公布答案啦!

上面这题的正确答案是:错

解析:

我们绝不可存有检查代码的思维,而是用定位的思维。正确的做法:找到产生test_modelsim/led信号的代码,如某always或assign或initial等。然后在波形上找出第一个错误(第1个为高阻的时刻),对着波形看,为什么此时刻值为高阻,而不是预期的某个值。
有兴趣的同学可以学习明德扬定位问题的课程,养成定位问题的思维,提高定位问题能力:
http://www.fpgabbs.cn/forum.php? ... 68&fromuid=9437

MP801开发板 网络培训班 就业培训班 FPGA学习资料
吴老师18022857217
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|MDYBBS ( 粤ICP备16061416号 )

GMT+8, 2024-4-26 13:06 , Processed in 0.049801 second(s), 22 queries .

Powered by Discuz! X3.4

本论坛由广州健飞通信有限公司所有

© 2001-2019 Comsenz Inc.

快速回复 返回顶部 返回列表