请选择 进入手机版 | 继续访问电脑版

明德扬论坛

 找回密码
 立即注册

QQ登录

只需一步,快速开始

微信扫一扫,快捷登录!

查看: 7741|回复: 0

Diamond在线调试助手Reveal使用(多图超详细)

[复制链接]
发表于 2019-12-27 09:46:38 | 显示全部楼层 |阅读模式

马上注册,看完整文章,学更多FPGA知识。

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
Diamond在线调试助手Reveal使用(多图超详细)

本文为明德扬原创文章,转载请注明出处!作者:鱼丸粗面
在之前的系列文章中,我为大家详细讲解了Lattice开发工具的一些基本的使用方法,如怎样申请Diamond License、如何生成IP核、如何新建工程……今天我将继续介绍Diamond软件的使用方法——如何使用在线调试助手Reveal进行工程调试。
首先,找到Reveal图标,在下图标示区域。与Quartus 的Signaltap不同的是,Diamond在线调试助手需要在两个地方分别进行设置,一个是“Reveal Inserter”,在下图中标示框中左边的图标,此窗口是用来设置采样时钟、采样深度、需要采样的信号以及触发条件。另一个是“Reveal Analyzer”,在标示框中右边的图标,此窗口是用来显示捕获到的波形信号。
接下来,建立调试文件。找到工程文件列表中的Debug Files(如图2),右键“Add”—“New Files”,弹出的界面中找到“Reveal Project Files”,在下面会显示对应的文件类型为“rvl”(如图3),将其保存到设定好的文件夹目录下(可以在工程文件夹目录下新建一个debug文件夹,用来保存调试文件)。
图2 新建调试文件
图3 选择新建.rvl文件
入信号设置界面(如图4点击“New”,进)。其中“Trace”下面是想要观察的信号列表,需要从左边“Design Trace”窗口中在对应的模块中找到信号名称然后拖拽到“Trace”窗口之下;“Simple Clock”是采样时钟,可以手动输入,也可以从“Design Trace”窗口中找到时钟信号拖入;“Buffer Depth”是采样深度,可以根据资源占用的数目来进行选定。“Implementation”是所用的资源数目,不可以超过100否则会报错。
图4-1 信号设置界面
图4-2是信号设置完成的界面:
图4-2 信号设置界面
采样时钟、待观察信号设置完成后,进行触发条件设置,在右下角的“Trigger Singnal Setup”界面(如图5),设置触发条件。
图5 触发条件设置
触发条件设置界面需要设置两部分内容。在“Trigger Unit”窗口中,选择要触发的信号,点击“Add”可以添加触发条件设置窗口来增加触发信号的个数。双击“signals”下的空白(如图6),在弹出的信号列表界面中找到待触发的信号,将其添加进来,判断条件在“Operator”窗口下面选择,可以选择上升沿、下降沿触发,或者其他触发判断条件。
图6 选择触发信号及判断条件
添加完所有的待触发信号和判断条件之后,需要在“Trigger Expressin”窗口中将上面添加的“name”名复制到“Expression”下(如图7),名称要与前面“name”一致,并且在“Trigger Unit”界面中出现的“name”名称都要添加到“Trigger Expression”下。
图7 正确设置触发条件界面
Ctrl+S保存修改,将设置好的“.rvl”文件与整个工程一起进行编译综合,并将生成的“.bit”流文件烧录到板子中,接下来需要设置“.rva”配置文件。
在“Reveal analyzer”窗口(图8左上角)下创建JTAG口与FPGA连接的文件,在“Reveal Analyzer Setup Wizard“界面下,选择”Create a new file“。
图8 创建.rva文件
命名文件名。在“USB port”一栏中,点击“Detect”寻找与FPGA的JTAG口相连的USB接口。在“Debug device”一栏中,点击“Scan”来添加正在进行通信的FPGA设备。如果没有先生成bit流文件并烧录到板子中,将会出现如下报错:“Cannot debug device : please check cable connection or reveal insertion in bitstream”。
图9 报错信息
错误信息,需要先将bit流文件烧录进板子中去。综合编译,生成bit流文件后进行烧录,再点击Scan,即可检测到待调试的设备。
烧录进bit流文件后,点击“Scan“,便能搜索到正在通信的FPGA设备:
图10 添加Debug device
将前面生成的“.rvl”文件添加进“RVL source”一栏中:
图11 添加.RVL文件
设置完成后,打开“Reveal Analyzer”界面,点击图中绿色按钮,逻辑分析仪便会按照设置的触发信号以及触发条件捕捉待观察的信号。
图12 开始捕捉信号
成功捕获到的波形:
图13 捕获到的波形图
以上就是线调试助手Reveal的使用方法,如有不明白的地方可以加我的QQ:3358622769,我会为有需要的朋友提供帮助。期待与大家一起探讨进步!

FPGA视频课程  培训班 FPGA学习资料
吴老师 18022857217(微信同号) Q1241003385
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|MDYBBS ( 粤ICP备16061416号 )

GMT+8, 2024-4-16 19:53 , Processed in 0.069294 second(s), 22 queries .

Powered by Discuz! X3.4

本论坛由广州健飞通信有限公司所有

© 2001-2019 Comsenz Inc.

快速回复 返回顶部 返回列表