请选择 进入手机版 | 继续访问电脑版

明德扬论坛

 找回密码
 立即注册

QQ登录

只需一步,快速开始

微信扫一扫,快捷登录!

查看: 138929|回复: 4

明德扬FPGA初级入门课程学习流程指引

[复制链接]
发表于 2020-9-1 13:16:04 | 显示全部楼层 |阅读模式

马上注册,看完整文章,学更多FPGA知识。

您需要 登录 才可以下载或查看,没有帐号?立即注册

x


亲爱的同学们,欢迎大家加入初级入门课程学习,预祝大家学业有成!


【课前准备】:
1、下载教材
下载链接:https://pan.baidu.com/s/1tYd2inkg4gM5uNtsMtwFLA 提取码:0aln


2、下载视频教程
下载链接:https://pan.baidu.com/s/1VYaI863AXP7XFoSUAn3Tdw
提取码:ey1k


3、登录考试酷网站www.examcoo.com,注册账号,然后申请加入“初级入门培训班”班级,班级号:440770。


接下来,大家可以按照如下的学习流程顺序学习,过程中遇到问题可在课程群里提问,周一到周六都有专职老师在线答疑的哦~

【第一课】:FPGA概论
1、请看视频教程里01 FPGA概论;
2、看书籍第一篇 FPGA基础知识的第一章FPGA简介

【第二课】:了解FPGA开发流程
1、请看视频教程里 02 FPGA开发流程
2、看书籍第一篇 FPGA基础知识的第二章FPGA开发流程
【作业】完成以上任务后,手画FPGA开发流程,要求将FPGA开发流程熟记于心。

【第三课】:下载并安装Quartus/modelsiam和GVIM软件
1、quartus软件
下载链接:https://pan.baidu.com/s/1v_ke8sK78lWMl-Z1GUk-pA
提取码:k10g
注:安装完即可,后面会有软件使用的课程;

2、Gvim的下载和安装
下载链接:https://pan.baidu.com/s/1OBQSFpFLRdgpG3DrM8lxjw
提取码:kv8r


3、仿真工具modesiam下载和安装,并完成modelsiam的使用教程学习
下载链接:https://pan.baidu.com/s/1DpLvp_fexQQ7RgtWpgPkjw
提取码:75t8

【作业】完成modesiam的安装后,学习modelsiam的使用方法和modelsiam波形观看方法视频的学习,然后完成modelsiam使用训练的5道练习题。
111.jpg

接下来,我们开始学习语法学习阶段啦,硬件描述语言Verilog,这是我们写程序的基础。

【第四课】:硬件描述语言Verilog学习(一)
1、学习视频教程03 Verilog历史、04综合和仿真、05模块结构、06 信号类型
2、看书籍与视频对应的第三章 第1-4节;

【第五课】硬件描述语言Verilog学习(二)
1、学习视频教程07 程序语句、08-11数字进制;
2、看书籍与视频对应的第三章 第5节的5.1和5.2;

【第六课】硬件描述语言Verilog学习(三)
1、学习视频教程12-14 算术运算符;
2、看书籍与视频对应的第三章 第5节的5.3;

【第七课】硬件描述语言Verilog学习(四)
1、学习视频教程15-18,完成逻辑运算符、关系运算符和移位运算符的学习;
2、看书籍与视频对应的第三章 第5节的5.4-5.7的内容;

【第八课】硬件描述语言Verilog学习(五)
1、学习视频教程19-21,完成条件运算符和拼接运算符的学习;
2、看书籍与视频对应的第三章 第5节的5.8-5.9的内容;

【第九课】硬件描述语言Verilog学习(六)
1、学习视频教程22-24,完成时序逻辑的学习;
2、看书籍与视频对应的第三章 第6节的6.1-6.3的内容;

【第十课】硬件描述语言Verilog学习(七)
1、学习视频教程25-26,完成时序逻辑和对应硬件、阻塞和非阻塞赋值的学习;
2、看书籍与视频对应的第三章 第6节的6.4-6.5的内容;

【作业】
1、登录考试酷班级,完成自测练习《Verilog语法》的测试,巩固一下知识点,检验一下学习效果。
2、Verilog代码改错练习
下载链接:https://pan.baidu.com/s/1rOkxySRzUcKdJAuwuWIW7w
提取码:24st
注意:初学者最多范的错误是一行一行的去找错误。这种做法是不对的,万一以后项目越来越大,有几十万行代码的时候呢?所以,在完成以上作业之前,大家有必要先学习掌握定位问题的方法,提高定位到问题的效率。请学习 定位问题能力http://www.fpgabbs.cn/thread-268-1-1.html  。

接下来,我们要开始quartus工具的学习啦!

【第十一课】:FPGA开发平台Quartus学习
1、在开始学习之前,请确保您的quartus软件已经成功安装完成;
2、学习视频教程27-31集,quartus软件使用教程;
3、看书籍与视频对应的第四章《》FPGA开发平台介绍
【作业】完成《第一个上板例程》的上板,并完成固化;
案例下载链接:https://pan.baidu.com/s/1BYAROUguF9vVRMTQO2cx_A
提取码:qdwk


【第十二课】:在线逻辑分析仪Signaltap

Signaltap是ALTERA的在线调试工具,其重要性可与仿真工程等同,是学习FPGA必须掌握的工具,而且是必须熟练掌握。

1、学习视频教程32-35集,关于signaltap的内容
2、看书籍与视频对应的第五章 《在线逻辑分析仪signaltap


【作业】完成signaltap的6个训练题。
作业下载链接:https://pan.baidu.com/s/1FnmRmqjCndq1uu13zPyFJA
提取码:9mee

111.jpg

【第十三课】:高效编辑器GVIM

1、学习视频教程36-41集,关于高效GVIM编辑器的内容
2、看书籍与视频对应的第二篇的第一章高效GVIM编辑器内容
3、下载以下文件作速查备用:
  vim 快捷命令 建议打印
VIM键盘图.png

【作业】
1、熟记以上内容;
2、将GVIM设置成默认编辑器;
方法:
Vivado设置默认编辑器为GVIM的方法   请点这里
Quartus设置默认编辑器为GVIM的方法  请点这里

【第十四课】:GVIM模板的学习
1、学习视频教程第42集,明德扬多用模板专注设计内容;
2、看书籍与视频对应的第二篇的第二章多用模板的内容
3、下载以下文件作速查备用:
基于明德扬课程的GVIM教程.pdf (199.32 KB, 下载次数: 352)
MP801开发板 网络培训班 就业培训班 FPGA学习资料
吴老师18022857217

0

主题

2

帖子

79

积分

注册会员

Rank: 2

积分
79
发表于 2020-9-21 14:31:57 | 显示全部楼层
棒棒的,支持下明德扬!

0

主题

1

帖子

33

积分

新手上路

Rank: 1

积分
33
发表于 2021-7-6 08:44:13 | 显示全部楼层
modelsim的五道练习题在哪里下载???
 楼主| 发表于 2021-7-6 09:09:20 | 显示全部楼层
小张快跑 发表于 2021-7-6 08:44
modelsim的五道练习题在哪里下载???

801网盘,你添加下我微信,我发你   fpgamdy
MP801开发板 网络培训班 就业培训班 FPGA学习资料
吴老师18022857217
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|MDYBBS ( 粤ICP备16061416号 )

GMT+8, 2024-4-16 15:17 , Processed in 0.056178 second(s), 26 queries .

Powered by Discuz! X3.4

本论坛由广州健飞通信有限公司所有

© 2001-2019 Comsenz Inc.

快速回复 返回顶部 返回列表